Alveo U280 Data Center Accelerator Card Data Sheet Datasheet

(I XILINX® Table 1: Alveo U280 Accelerator Card Product Details Send Feed back
Summary
The Xilinx® Alveo™ U280 Data Center accelerator card is a full height, dual slot, ¾ length (passive cooling) or full
length (active cooling) form factor. It supports PCI Express® Gen3 x16 or Gen4 x8, is equipped with 8 GB of
high-bandwidth memory (HBM2), two 16 GB DDR4 RDIMMs operating at 2400 MT/s, and two QSFP28
Ethernet ports capable of 100 Gb/s each. The Alveo U280 card is designed to accelerate memory-bound,
compute-intensive applications including database analytics and machine learning inference.
Alveo Product Details
Table 1: Alveo U280 Accelerator Card Product Details
Specification 1Active Cooling Version Passive Cooling Version
Product SKU A-U280-A32G-DEV-G 3A-U280-P32G-PQ-G 3
Total electrical card load 2225W 225W
Thermal cooling solution Active Passive
Weight 1187g 1130g
Form factor Full height, full length, dual width. Full height, ¾ length, dual width.
Network interface 2x QSFP28
PCIe Interface4, 5Gen3 x16, Gen4 x8, CCIX
HBM2 total capacity 8 GB
HBM2 total bandwidth 460 GB/s
Look-up tables (LUTs) 1,304K
Registers 2,607K
DSP slices 9,024
Block RAMs 2,016
UltraRAMs 960
DDR total capacity 32 GB
DDR maximum data rate 2400 MT/s
Alveo U280 Data Center Accelerator
Card Data Sheet
DS963 (v1.3) May 11, 2020 Product Specification
DS963 (v1.3) May 11, 2020 www.xilinx.com
Product Specification 1
(I XILINXa Table 1: Alveo U280 Accelerator Card Product Details (cont'd) Send Feed back
Table 1: Alveo U280 Accelerator Card Product Details (cont'd)
Specification 1Active Cooling Version Passive Cooling Version
DDR total bandwidth 38 GB/s
Notes:
1. The specifications in this data sheet apply to production U280 cards. Any differences in U280 ES1 card specifications and capabilities are
documented in the U280 ES1 Known Issues Xilinx Answer Record 71975.
2. The 225W PCIe CEM card can take 65W from the standard connector 12V supply and an additional 150W from the AUX connector 12V
supply. The 3.3V supply from the standard connector is not used on this card. The CEM card requires that a 150W PCIe AUX power cable
be connected to the card.
3. Passive and active cooling cards are qualified for deployment. The active DEV part number includes a USB cable for development
purposes. For volume ordering options on active cards without USB cable included, contact your local Xilinx sales representative.
4. The PCIe interface can be configured to support a variety of link widths and speeds. The maximum is Gen3 (8 GT/s) x16, Gen4 (16 GT/s)
x8, or CCIX operating at 16 GT/s x8. The PCIe interface can also be configured into dual x8 interfaces and connected to hosts that
support PCIe bifurcation.
5. This block operates in compatibility mode for 16.0 GT/s (Gen4) operation. Refer to UltraScale+ Devices Integrated Block for PCI Express
LogiCORE IP Product Guide (PG213) for details on compatibility mode.
The following figure shows the components within an Alveo U280 accelerator card.
Figure 1: U280 Block Diagram
XCU280
HBM
4 GB
HBM
4 GB
Maintenance Port
Flash
Clocks
DDR
QSFP
PCIe x 16
Satellite
Controller
X23519-111319
Card Specifications
Dimensions
The card is compliant with the PCIe CEM rev.3.0 Specification as a dual-slot, standard height card. The card with
the passive cooling enclosure is three-quarter length, and the card with the active cooling enclosure is full
length.
Alveo U280 Data Center Accelerator Card Data Sheet
DS963 (v1.3) May 11, 2020 www.xilinx.com
Product Specification 2
(I XILINXa Table 2: Card Dimensions Table 3: PCI Express Data Transfer Rate Performance Table 4: DDR4 Interfaces Send Feed back
Table 2: Card Dimensions
Parameter Dimension
Height 4.375 inch (111.15 mm)
Active cooling enclosure installed
Assembly length 11.69 inch (297 mm)
Assembly width 1.54 inch (39.04 mm)
Passive cooling enclosure installed
Assembly length 9.53 inch (242 mm)
Assembly thickness 1.54 inch (39.04 mm)
PCIe Connector/Data Rates
The Alveo U280 accelerator card uses an UltraScale+™ FPGA containing a PCIE4C block. The PCIE4C block is
compliant to the PCI Express Base Specification v3.1 supporting up to 8.0 GT/s (Gen3 x16) and compatible with
PCI Express Base Specification v4.0 supporting up to 16.0 GT/s (Gen4 x8). The PCIE4C block is also compliant
with CCIX Base Specification Revision 1.0 v0.9, supporting speeds up to 16.0 GT/s.
Table 3: PCI Express Data Transfer Rate Performance
PCI Express Generation Performance
Gen 1 2.5 GigaTransfers per second (GT/s)
Gen 2 5.0 GT/s
Gen 3 8.0 GT/s
Gen 4 116.0 GT/s
Notes:
1. The Gen4 (16.0 GT/s) line rate is currently not supported in the Vitis environment for the target platform. Xilinx IP that supports PCIe
operating at the Gen4 rate is available in the Vivado tools. For a list of limitations when operating at the Gen4 rate, see UltraScale+ Devices
Integrated Block for PCI Express LogiCORE IP Product Guide (PG213).
DDR4 Specifications
Two 288-pin DDR4 DIMM sockets are populated with single rank DIMMs capable of operating at data rates up
to 2400 MegaTransfers per second (MT/s).
Table 4: DDR4 Interfaces
Alveo Card Parameter Description
A-U280-A32G-DEV-G
A-U280-P32G-PQ-G
Manufacturer Micron
Part Number MTA18ASF2G72PZ-2G3B1
Description
16 GB 288-pin DDR4 RDIMM
Configuration: 2 Gb x 72
Single rank
Supports ECC error detection and correction
Supports 2400 MT/s
Alveo U280 Data Center Accelerator Card Data Sheet
DS963 (v1.3) May 11, 2020 www.xilinx.com
Product Specification 3
{I X|L|NX® Send Feedback
Network Interfaces
The Alveo U280 accelerator cards host two 100G interfaces, each comprised of a 4-lane QSFP28 connector.
The QSFP case temperature must be less than 85°C for class 3 optical modules (< 2.5W), and less than 70°C for
class 4 optical modules (< 3.5W). The user needs to provide sufficient airflow and ambient temperature to
ensure the optical module remains within the manufacturer's specification. For available platforms, see Alveo
Data Center Accelerator Card Platforms User Guide (UG1120). Each connector is housed within a single QSFP cage
assembly located at the I/O bracket.
USB Maintenance Port
The Alveo U280 accelerator cards include a micro-USB maintenance port located at the back of the card.
Qualified Servers
A list of servers on which Alveo cards are fully qualified can be found here: https://www.xilinx.com/products/
boards-and-kits/alveo/qualified-servers.html.
Operating System Compatibility
For the most up-to-date operating system support, refer to the Vitis Unified Software Platform Documentation:
Application Acceleration Development (UG1393).
FPGA Resource Information
The Xilinx Alveo U280 accelerator card is a custom-built UltraScale+ FPGA that runs optimally (and exclusively)
on the Alveo architecture. The Alveo U280 card features the XCU280 FPGA, which uses Xilinx stacked silicon
interconnect (SSI) technology to deliver breakthrough FPGA capacity, bandwidth, and power efficiency. This
technology allows for increased density by combining multiple super logic regions (SLRs). The XCU280
comprises three SLRs with the bottom SLR (SLR0) integrating an HBM controller to interface with the adjacent 8
GB HBM2 memory. The bottom SLR also connects to 16 lanes of PCI Express® that can operate up to 16 GT/s
(Gen4). SLR0 and SLR1 both connect to a DDR4 16 GB, 2400 MT/s, 64-bit with error correcting code (ECC)
DIMM for a total of 32 GB of DDR4. SLR2 connects two QSFP28 connectors with associated clocks generated
on the U280 board. The following figure shows the three SLR regions along with the connections for PCIe,
DDR4, and QSFP28. The HBM is co-located on the XCU280 device and connects directly to SLR0.
Alveo U280 Data Center Accelerator Card Data Sheet
DS963 (v1.3) May 11, 2020 www.xilinx.com
Product Specification 4
{I XILINXa QSFP1 QSFPO DDR4 DDR4 HBM HBM Table 5: Operating and Storage Temperatures and Humidity Conditions Send Feed back
Figure 2: Floorplan of the XCU280 Device
HBM
GTY
GTY
GTY
GTY
GTY
HBM
SLR0
PCIe
SLR2
SLR1
GTY
QSFP1
QSFP0
DDR4 DDR4
X23461-103119
For customers using the Vitisapplication acceleration development flow, a platform is created that manages
the PCIe interface, data transfers, and card status information. It also remotely loads kernels and performs
several functions that greatly simplify developing an application. This platform is part of the static region (an
area of the FPGA that is not reconfigurable). This platform consumes resources from the available resources
listed in Table 1. The specific amount of resources depends on which platform, and even which version of a
platform is used. This information is available in Alveo Data Center Accelerator Card Platforms User Guide
(UG1120).
For developing applications, refer to the Vitis Unified Software Platform Documentation: Application Acceleration
Development (UG1393).
Thermal Specification
Ambient Conditions
The ambient conditions are detailed in the following sections.
Operating and Storage Temperature Conditions
Table 5: Operating and Storage Temperatures and Humidity Conditions
Specification Condition
Operating temperature 0°C to 45°C
Storage temperature –40°C to 75°C
Alveo U280 Data Center Accelerator Card Data Sheet
DS963 (v1.3) May 11, 2020 www.xilinx.com
Product Specification 5
{I XILINX® Table 5: Operating and Storage Temperatures and Humidity Conditions (cant‘d) Send Feed back
Table 5: Operating and Storage Temperatures and Humidity Conditions (cont'd)
Specification Condition
Operating humidity, non-condensing 8% to 90%
Storage humidity, non-condensing 5% to 95%
Related Information
Operating Conditions
Airflow Direction Support
Passive cards do not include a built-in fan and therefore require an external mechanism to ensure proper airflow
for cooling. Passive cards should not be powered without a forced airflow mechanism in place. The passively
cooled Alveo U280 cards support airflow as illustrated below.
Figure 3: Airflow Direction for Passively Cooled Cards
Airflow
X22070-021519
Note: Other environmental conditions are possible, including bidirectional flow. However, this is specific to server
configurations, and testing is performed by individual OEMs. Contact your server provider for more information and
options.
Operating Conditions
Inlet Temperature versus Airflow Requirement in Server
The following tables state the required airflow rate and airflow speed to the card under different operating
conditions.
Alveo U280 Data Center Accelerator Card Data Sheet
DS963 (v1.3) May 11, 2020 www.xilinx.com
Product Specification 6
(I XILINXa Table 6: Inlet Temperature versus Airflow Requirement for the U280 Card at Sea Level with -190W Table 7: Inlet Temperature versus Airflow Requirement for the U280 Card at Sea Level with -190W Table 8: Inlet Temperature versus Airflow Requirement for the U280 Card above Sea Level with Send Feed back
Table 6: Inlet Temperature versus Airflow Requirement for the U280 Card at Sea Level with ~190W
Load for 70°C Rated QSFP
Inlet Temperature versus Airflow Requirement of PCIe Card Slot (39.62 mm x 97.54 mm) at Sea Level for 70°C
Rated QSFP
Inlet Temperature to the Card
(°C) Linear Feet per Minute (LFM) Cubic Feet per Minute (CFM) Pressure
(inwg)
5 300 12.5 0.37
10 320 13.3 0.42
15 350 14.6 0.50
20 390 16.2 0.62
25 440 18.3 0.79
30 500 20.8 1.02
35 570 23.7 1.32
40 660 27.5 1.77
45 750 31.2 2.28
50 (not supported) 870 36.2 3.06
Table 7: Inlet Temperature versus Airflow Requirement for the U280 Card at Sea Level with ~190W
Load for 85°C Rated QSFP
Inlet Temperature versus Airflow Requirement of PCIe Card Slot (39.62 mm x 97.54 mm) at Sea Level for 85°C
Rated QSFP
Inlet Temperature to the Card
(°C) Linear Feet per Minute (LFM) Cubic Feet per Minute (CFM) Pressure
(inwg)
5 220 9.2 0.20
10 230 9.6 0.22
15 250 10.4 0.26
20 280 11.6 0.32
25 310 12.9 0.40
30 350 14.6 0.50
35 390 16.2 0.62
40 450 18.7 0.83
45 520 21.6 1.10
50 (not supported) 600 25.0 1.46
Table 8: Inlet Temperature versus Airflow Requirement for the U280 Card above Sea Level with
~190W Load for 70°C Rated QSFP
Inlet Temperature versus Airflow Requirement of PCIe Card Slot (39.62 mm x 97.54 mm) at 1200m above Sea Level
for 70°C Rated QSFP
Inlet Temperature to the Card
(°C) Linear Feet per Minute (LFM) Cubic Feet per Minute (CFM) Pressure
(inwg)
5 300 12.5 0.37
10 320 13.3 0.42
15 350 14.6 0.50
20 390 16.2 0.62
Alveo U280 Data Center Accelerator Card Data Sheet
DS963 (v1.3) May 11, 2020 www.xilinx.com
Product Specification 7
(I XILINXa Table 8: Inlet Temperature versus Airflow Requirement for the U280 Card above Sea Level with Table 9: Inlet Temperature versus Airflow Requirement for the U280 Card above Sea Level with Send Feed back
Table 8: Inlet Temperature versus Airflow Requirement for the U280 Card above Sea Level with
~190W Load for 70°C Rated QSFP (cont'd)
Inlet Temperature versus Airflow Requirement of PCIe Card Slot (39.62 mm x 97.54 mm) at 1200m above Sea Level
for 70°C Rated QSFP
Inlet Temperature to the Card
(°C) Linear Feet per Minute (LFM) Cubic Feet per Minute (CFM) Pressure
(inwg)
25 440 18.3 0.79
30 500 20.8 1.02
35 570 23.7 1.32
40 660 27.5 1.77
45 750 31.2 2.28
50 (not supported) 870 36.2 3.06
Table 9: Inlet Temperature versus Airflow Requirement for the U280 Card above Sea Level with
~190W Load for 85°C Rated QSFP
Inlet Temperature versus Airflow Requirement of PCIe Card Slot (39.62 mm x 97.54 mm) at 1200m above Sea Level
for 85°C Rated QSFP
Inlet Temperature to the Card
(°C) Linear Feet per Minute (LFM) Cubic Feet per Minute (CFM) Pressure
(inwg)
5 240 10.0 0.24
10 250 10.4 0.26
15 270 11.2 0.30
20 300 12.5 0.37
25 330 13.7 0.45
30 370 15.4 0.56
35 420 17.5 0.72
40 480 20.0 0.94
45 560 23.3 1.28
50 (not supported) 650 27.0 1.72
Temperature Gradient
The Alveo accelerator card and its thermal management device should be able to operate at a temperature/time
gradient of 15°C/hour in its ambient surroundings. The thermal management device is the heat sink, shroud,
backplate, top plate, and fan (for active solutions).
Humidity
The Alveo accelerator card and its thermal management device should be able to operate in a RH (relative
humidity) range of 8% to 90% and a dew point of –12°C DP without condensation.
Alveo U280 Data Center Accelerator Card Data Sheet
DS963 (v1.3) May 11, 2020 www.xilinx.com
Product Specification 8
{I X|L|NX® Send Feedback
Storage and Non-Operating Conditions
The Alveo accelerator card and its thermal management device should be stored or maintained in non-operating
conditions in a RH range of 5% to 95% without condensation and an ambient temperature range of –40°C to
75°C.
Regulatory Compliance Statements
Note: The following sections contain information in languages other than English. This is required for regulatory compliance.
FCC Class A Products
Regulatory Compliance Statements are valid for the production version of Alveo™ cards; not for ES cards.
Note: These devices are for use with UL Listed Servers or I.T.E.
Safety Compliance
The following safety standards apply to all products listed above.
UL 60950-1, 2nd Edition, 2014-10-14 (Information Technology Equipment - Safety - Part 1: General
Requirements)
CSA C22.2 No. 60950-1-07, 2nd Edition, 2014-10-14 (Information Technology Equipment - Safety - Part 1:
General Requirements)
EN 60950-1:2006+A11:2009+A1:2012+A12:2011+A2:2013 (European Union)
IEC 60950-1:2005 (2nd Edition); Am 1:2009 (International)
EU LVD Directive 2014/35/EU
EMC Compliance
The following standards apply.
Class A Products
FCC Part 15 – Radiated & Conducted Emissions (USA)
CAN ICES-3(A)/NMB-3(A) – Radiated & Conducted Emissions (Canada)
CISPR 32 – Radiated & Conducted Emissions (International)
EN55032: 2015 – Radiated & Conducted Emissions (European Union)
EN55024: 2010 +A1:2001+A2:2003 – Immunity (European Union)
EMC Directive 2014/30/EU
VCCI (Class A)– Radiated & Conducted Emissions (Japan)
CNS13438 – Radiated & Conducted Emissions (Taiwan)
CNS 15663 - RoHS (Taiwan)
Alveo U280 Data Center Accelerator Card Data Sheet
DS963 (v1.3) May 11, 2020 www.xilinx.com
Product Specification 9
(I XILINXa Send Feed back
AS/NZS CISPR 32 – Radiated and Conducted Emissions (Australia/New Zealand)
Article 58-2 of Radio Waves Act, Clause 3 (Korea)
Regulatory Compliance Markings
When required, these products are provided with the following Product Certification Markings:
UL Listed Accessories Mark for the USA and Canada
CE mark
FCC markings
VCCI marking
Australian C-Tick mark
Korea MSIP mark
Taiwan BSMI mark
German GS mark
FCC Class A User Information
The Class A products listed above comply with Part 15 of the FCC Rules. Operation is subject to the following
two conditions:
1. This device may not cause harmful interference.
2. This device must accept any interference received, including interference that may cause undesired
operation.
IMPORTANT! This equipment has been tested and found to comply with the limits for a Class A digital device, pursuant
to Part 15 of the FCC rules. These limits are designed to provide reasonable protection against harmful interference
when the equipment is operated in a commercial environment. This equipment generates, uses, and can radiate radio
frequency energy and, if not installed and used in accordance with the instructions, may cause harmful interference to
radio communications. Operation of this equipment in a residential area is likely to cause harmful interference, in which
case the user will be required to correct the interference at his or her own expense.
IMPORTANT! Cet équipement a été testé et jugé conforme à la Class A digital device, conformément à la règle 15 du
standard FCC. Ces limites sont conçues pour fournir des protections contre des interférences nuisibles lorsque
l'équipement est utilisé dans un environnement commercial. Cet équipement génère, utilise et peut émettre des énergies
de radio-fréquence et, s'il n'est pas installé et utilisé conformément aux instructions, peut nuire aux communications
radio. L'exploitation de cet équipement dans une zone résidentielle est susceptible de causer des interférences nuisibles,
auquel cas auquel cas l'utilisateur peut être tenu de prendre des mesures adéquates à ses propres frais.
WICHTIG! Dieses Gerät wurde getestet und entspricht den Grenzwerten für digitale Geräte der Klasse A gemäß Teil 15
der FCC-Bestimmungen. Diese Grenzwerte bieten einen angemessenen Schutz gegen schädliche Interferenzen, wenn das
Gerät in einer gewerblichen Umgebung betrieben wird. Dieses Gerät erzeugt und verwendet Hochfrequenzenergie und
kann diese abstrahlen. Wenn es nicht gemäß den Anweisungen installiert und verwendet wird, kann dies Funkstörungen
verursachen. Der Betrieb dieses Geräts in einem Wohngebiet kann schädliche Interferenzen verursachen. In diesem Fall
muss der Benutzer die Interferenz auf eigene Kosten beheben.
Alveo U280 Data Center Accelerator Card Data Sheet
DS963 (v1.3) May 11, 2020 www.xilinx.com
Product Specification 10
{I XILINXa :(DQEEIEL 751Afiéfifim§zfift C@gfiéglfiliififfifiiétiififi/‘JEE?\%ECVC&DWJUit C ®*%§(C(Jiffii%fffitflffifififiififé =1: 5%??? hi) C t 17% U i?” VOCI-A Ag 7m 0| 7M5 EE'rEkAalfii {Kiwi-3.." " E 7‘7luiiLi {WWI if Algflf BI ‘45 w’iflfiwfl BMW 39% geewmi M4243 NEWMAIgElf a: %’:7i EMU CLASS A Kiwi“ This device has been appoven by EMC iegisiraiioii. Distributors or users pay anenmn lolhls (mmmemial minimising poim This device is usually aimedto be used in oihei area excepi ai home and wmmunI-llon equipment gfiéfififigz ttRfiiééé‘E/‘lfiifiifififi, fiéfifii‘é‘ififlFfifififiéi Wéfigififiififififim Ettfi‘rfiifl‘fi fimfigmgfiifflxigfifiéfifififii Send Feed back
CAUTION! If the device is changed or modified without permission from Xilinx, the user may void his or her authority to
operate the equipment.
ATTENTION! Si l'appareil est modifié sans l'autorisation de Xilinx, l'utilisateur peut annuler son abilité à utiliser
l'équipement.
VORSICHT! Wenn das Gerät ohne Erlaubnis von Xilinx geändert wird, kann der Benutzer seine Berechtigung zum
Betrieb des Geräts verlieren.
Canadian Compliance (Industry Canada)
CAN ICES-3(A)/NMB-3(A)
VCCI Class A Statement
KCC Notice Class A (Republic of Korea Only)
BSMI Class A Notice (Taiwan)
EU WEEE Logo
Alveo U280 Data Center Accelerator Card Data Sheet
DS963 (v1.3) May 11, 2020 www.xilinx.com
Product Specification 11
Manufacturer Declaration European Community
Manufacturer Declaration
Xilinx declares that the equipment described in this document is in conformance with the requirements of the
European Council Directive listed below:
Low Voltage Directive 2014/35/EU
EMC Directive 2014/30/EU
RoHS Directive 2011/65/EU
China RoHS Declaration: Standards SJ/T 11363-2006, 11364-2006, and GB/T 26572-2011
These products follow the provisions of the European Directive 2014/53/EU.
Dette produkt er i overensstemmelse med det europæiske direktiv 1999/5/EC.
Dit product is in navolging van de bepalingen van Europees Directief 1999/5/EC.
Tämä tuote noudattaa EU-direktiivin 1999/5/EC määräyksiä.
Ce produit est conforme aux exigences de la Directive Européenne 1999/5/EC.
Dieses Produkt entspricht den Bestimmungen der Europäischen Richtlinie 1999/5/EC.
Þessi vara stenst reglugerð Evrópska Efnahags Bandalagsins númer 1999/5/EC.
Questo prodotto è conforme alla Direttiva Europea 1999/5/EC.
Dette produktet er i henhold til bestemmelsene i det europeiske direktivet 1999/5/EC.
Alveo U280 Data Center Accelerator Card Data Sheet
DS963 (v1.3) May 11, 2020 www.xilinx.com
Product Specification 12
(I XILINXa Send Feed back
Este produto cumpre com as normas da Diretiva Européia 1999/5/EC.
Este producto cumple con las normas del Directivo Europeo 1999/5/EC.
Denna produkt har tillverkats i enlighet med EG-direktiv 1999/5/EC.
This declaration is based upon compliance of the Class A products listed above to the following standards:
EN 55032 (CISPR 32 Class A) RF Emissions Control.
EN 55024:2010 (CISPR 24) Immunity to Electromagnetic Disturbance.
EN 60950-1:2006/A11:2009A1:2010/A12:2011 Information Technology Equipment- Safety-Part 1: General
Requirements.
EN 50581:2012 - Technical documentation for the assessment of electrical and electronic products with
respect to the restriction of hazardous substances.
CAUTION! In a domestic environment, Class A products may cause radio interference, in which case the user may be
required to take adequate measures.
ATTENTION! Dans un environnement domestique, les produits de Classe A peuvent causer des interférences radio,
auquel cas l'utilisateur peut être tenu de prendre des mesures adéquates.
VORSICHT! In einer häuslichen Umgebung können Produkte der Klasse A Funkstörungen verursachen. In diesem Fall
muss der Benutzer möglicherweise geeignete Maßnahmen ergreifen.
Responsible Party
Xilinx, Inc.
2100 Logic Drive, San Jose, CA 95124
United States of America
Phone: (408) 559-7778
References
The following documents provide additional information.
Getting Started with Alveo Data Center Accelerator Cards (UG1301)
Alveo U200 and U250 Data Center Accelerator Cards User Guide (UG1289)
Revision History
The following table shows the revision history for this document.
Section Revision Summary
05/11/2020 Version 1.3
Summary Updated description of DDR4 RDIMMs.
Table 1 Updated number of LUTs and added block RAMs.
Network Interfaces Updated wattage description.
Alveo U280 Data Center Accelerator Card Data Sheet
DS963 (v1.3) May 11, 2020 www.xilinx.com
Product Specification 13
(I XILINXa Send Feed back
Section Revision Summary
FPGA Resource Information Updated paragraph after Figure 2.
Table 2 Updated assembly length for active cooling enclosure installed.
Table 5 Updated operating temperature.
Standard Compliance Details Removed section.
Airflow Direction Support Added note after figure.
Operating Conditions Updated tables.
Humidity Updated upper end of relative humidity range from 85% to 90%.
Storage and Non-Operating Conditions Updated upper end of relative humidity range from 90% to 95%.
11/20/2019 Version 1.2
General updates Updated to the Vitis unified software platform throughout.
General updates Updated to the Vitis unified software platform throughout.
Alveo Product Details Updated table and figure.
Qualified Servers Replaced table with link to Alveo Qualified Servers Catalog.
Network Interfaces Removed sentence about QSFP connectors not being supported in
target platform.
Operating System Compatibility Updated section.
FPGA Resource Information Updated section, including figure.
06/28/2019 Version 1.1
Alveo Product Details Updated the block diagram.
Qualified Servers Added servers.
Operating System Compatibility Updated operating systems.
Standard Compliance Details Added a note about altitude.
02/15/2019 Version 1.0
Initial release N/A
Please Read: Important Legal Notices
The information disclosed to you hereunder (the "Materials") is provided solely for the selection and use of
Xilinx products. To the maximum extent permitted by applicable law: (1) Materials are made available "AS IS" and
with all faults, Xilinx hereby DISCLAIMS ALL WARRANTIES AND CONDITIONS, EXPRESS, IMPLIED, OR
STATUTORY, INCLUDING BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and (2) Xilinx shall not be liable (whether in
contract or tort, including negligence, or under any other theory of liability) for any loss or damage of any kind
or nature related to, arising under, or in connection with, the Materials (including your use of the Materials),
including for any direct, indirect, special, incidental, or consequential loss or damage (including loss of data,
profits, goodwill, or any type of loss or damage suffered as a result of any action brought by a third party) even if
such damage or loss was reasonably foreseeable or Xilinx had been advised of the possibility of the same. Xilinx
assumes no obligation to correct any errors contained in the Materials or to notify you of updates to the
Materials or to product specifications. You may not reproduce, modify, distribute, or publicly display the
Materials without prior written consent. Certain products are subject to the terms and conditions of Xilinx's
Alveo U280 Data Center Accelerator Card Data Sheet
DS963 (v1.3) May 11, 2020 www.xilinx.com
Product Specification 14
{I XILINXa Send Feed back
limited warranty, please refer to Xilinx's Terms of Sale which can be viewed at https://www.xilinx.com/
legal.htm#tos; IP cores may be subject to warranty and support terms contained in a license issued to you by
Xilinx. Xilinx products are not designed or intended to be fail-safe or for use in any application requiring fail-safe
performance; you assume sole risk and liability for use of Xilinx products in such critical applications, please
refer to Xilinx's Terms of Sale which can be viewed at https://www.xilinx.com/legal.htm#tos.
AUTOMOTIVE APPLICATIONS DISCLAIMER
AUTOMOTIVE PRODUCTS (IDENTIFIED AS "XA" IN THE PART NUMBER) ARE NOT WARRANTED FOR USE
IN THE DEPLOYMENT OF AIRBAGS OR FOR USE IN APPLICATIONS THAT AFFECT CONTROL OF A
VEHICLE ("SAFETY APPLICATION") UNLESS THERE IS A SAFETY CONCEPT OR REDUNDANCY FEATURE
CONSISTENT WITH THE ISO 26262 AUTOMOTIVE SAFETY STANDARD ("SAFETY DESIGN"). CUSTOMER
SHALL, PRIOR TO USING OR DISTRIBUTING ANY SYSTEMS THAT INCORPORATE PRODUCTS,
THOROUGHLY TEST SUCH SYSTEMS FOR SAFETY PURPOSES. USE OF PRODUCTS IN A SAFETY
APPLICATION WITHOUT A SAFETY DESIGN IS FULLY AT THE RISK OF CUSTOMER, SUBJECT ONLY TO
APPLICABLE LAWS AND REGULATIONS GOVERNING LIMITATIONS ON PRODUCT LIABILITY.
Copyright
© Copyright 2019–2020 Xilinx, Inc. Xilinx, the Xilinx logo, Alveo, Artix, Kintex, Spartan, Versal, Virtex, Vivado,
Zynq, and other designated brands included herein are trademarks of Xilinx in the United States and other
countries. PCI, PCIe, and PCI Express are trademarks of PCI-SIG and used under license. All other trademarks
are the property of their respective owners.
Alveo U280 Data Center Accelerator Card Data Sheet
DS963 (v1.3) May 11, 2020 www.xilinx.com
Product Specification 15